Cypress Semiconductor Corp - CYALKIT-E02

KEY Part #: K6993105

CYALKIT-E02 Hinnoittelu (USD) [2034kpl varastossa]

  • 1 pcs$21.28400

Osa numero:
CYALKIT-E02
Valmistaja:
Cypress Semiconductor Corp
Yksityiskohtainen kuvaus:
KIT SOLAR POWER BLE BEACON RDK. Power Management IC Development Tools Solar-Powered BLE Beacon RDK
Valmistajan vakio läpimenoaika:
Varastossa
Kestoaika:
Yksi vuosi
Chip From:
Hongkong
RoHS:
Maksutapa:
Lähetyksen tapa:
Perheluokat:
KEY Components Co., LTD on elektronisten komponenttien jakelija, joka tarjoaa tuoteluokkia, mukaan lukien: RF-tehonsäätimen IC: t, RF-arviointi- ja kehityssarjat, levyt, RF-modulaattorit, RF-vastaanottimet, RF Misc IC ja moduulit, RF-lähetinvastaanottimen IC, RFI ja EMI - suojaavat ja absorboivat materiaalit and RF-lähettimet ...
Kilpailuetu:
We specialize in Cypress Semiconductor Corp CYALKIT-E02 electronic components. CYALKIT-E02 can be shipped within 24 hours after order. If you have any demands for CYALKIT-E02, Please submit a Request for Quotation here or send us an email:
GB-T-27922
ISO-9001-2015
ISO-13485
ISO-14001
ISO-28000-2007
ISO-45001-2018

CYALKIT-E02 Tuoteominaisuudet

Osa numero : CYALKIT-E02
Valmistaja : Cypress Semiconductor Corp
Kuvaus : KIT SOLAR POWER BLE BEACON RDK
Sarja : EZ-BLE™ PRoC™
Osan tila : Active
Tyyppi : Transceiver; Bluetooth® Smart 4.x Low Energy (BLE)
Taajuus : 2.4GHz
Käytettäväksi / niihin liittyvissä tuotteissa : CYBLE-022001-00, S6AE103A
Toimitettu sisältö : Board(s)

Saatat myös olla kiinnostunut
  • C027-C20-0

    U-Blox America Inc.

    MBED ENABLED IOT STARTER KIT WIT.

  • EVK-NINA-B312

    U-Blox America Inc.

    EVK FOR NINA-B312 WITH U-BLOX SO.

  • EVK-NINA-B302

    U-Blox America Inc.

    EVK FOR NINA-B302 WITH OPEN CPU.

  • C94-M8P-3

    U-Blox America Inc.

    C94-M8P APPLICATION BOARD PACKAG.

  • TRF3703-15EVM

    Texas Instruments

    EVAL MODULE FOR TRF3703-15. RF Development Tools TRF3703-15EVM

  • TRF37B73EVM

    Texas Instruments

    EVAL MODULE FOR TRF37A73. RF Development Tools TRF37B73 Eval Module